Task Activity Vectors: A Novel Metric for Temperature-Aware and Energy-Efficient Scheduling

Bibliography

[AB06] Jeff Andrews and Nick Baker. Xbox 360 system architecture. IEEE Micro, 26(2), 2006. doi: 10.1109/MM.2006.45
[ bib | doi ]
[ABD+97] Jennifer M. Anderson, Lance M. Berc, Jeffrey Dean, Sanjay Ghemawat, Monika R. Henzinger, Shun-Tak A. Leung, Richard L. Sites, Mark T. Vandevoorde, Carl A. Waldspurger, and William E. Weihl. Continuous profiling: where have all the cycles gone? In Proceedings of the 16th Symposium on Operating Systems Principles (SOSP'97). ACM, October 1997. doi: 10.1145/265924.265925EO
[ bib | doi ]
[ACD06] James H. Anderson, John M. Calandrino, and Uma Maheswari C. Devi. Real-time scheduling on multicore platforms. In RTAS '06: Proceedings of the 12th IEEE Real-Time and Embedded Technology and Applications Symposium. IEEE Computer Society, April 2006. doi: 10.1109/RTAS.2006.35
[ bib | doi ]
[AHH89] Amant Agarwal, Mark Horowitz, and John Hennessy. An analytical cache model. ACM Transactions on Computer Systems, 7(2), 1989. doi: 10.1145/63404.63407
[ bib | doi ]
[BB95] Thomas D. Burd and Robert W. Brodersen. Energy efficient CMOS microprocessor design. In HICSS '95: Proceedings of the 28th Hawaii International Conference on System Sciences. IEEE Computer Society, 1995. doi: 10.1109/HICSS.1995.375385
[ bib | doi ]
[Bel97a] Frank Bellosa. Follow-on scheduling: Using TLB information to reduce cache misses. In: Sixteenth Symposium on Operating Systems Principles (SOSP '97), Work in Progress Session, October 1997.
[ bib | http ]
[Bel97b] Frank Bellosa. Process cruise control: Throttling memory access in a soft real-time environement. Technical Report TR-I4-97-2, University of Erlangen, Department of Computer Science, July 1997.
[ bib | .pdf ]
[BH04] Erik Berg and Erik Hagersten. StatCache: a probabilistic approach to efficient and accurate data locality analysis. In ISPASS '04: Proceedings of the 2004 IEEE International Symposium on Performance Analysis of Systems and Software. IEEE Computer Society, March 2004. doi: 10.1109/ISPASS.2004.1291352
[ bib | doi ]
[BM01] David Brooks and Margaret Martonosi. Dynamic thermal management for high-performance microprocessors. In Proceedings of the Seventh International Symposium on High-Performance Computer Architecture (HPCA'01). IEEE Computer Society, January 2001. doi: 10.1109/HPCA.2001.903261.
[ bib | doi | .pdf ]
[BP04] James R. Bulpin and Ian A. Pratt. Multiprogramming performance of the Pentium 4 with Hyper-Threading. In Third Annual Workshop on Duplicating, Deconstruction and Debunking, June 2004
[ bib ]
[BP05] James R. Bulpin and Ian A. Pratt. Hyper-threading aware process scheduling heuristics. In ATEC '05: Proceedings of the USENIX Annual Technical Conference. USENIX Association, April 2005
[ bib ]
[BPA08] Mohammad Banikazemi, Dan Poff, and Bulent Abali. PAM: a novel performance/power aware meta-scheduler for multi-core systems. In Proceedings of the 2008 ACM/IEEE Conference on Supercomputing (SC'08). IEEE Computer Society, November 2008. doi: 10.1145/1413370.1413410
[ bib | doi ]
[BPJ+07] Sarah Bird, Aashish Phansalkar, Lizy K. John, Alex Mercas, and Rajeev Idukuru. Performance characterization of SPEC CPU benchmarks on Intel's Core microarchitecture based processor. In SPEC Benchmark Workshop, January 2007
[ bib ]
[BS96] Frank Bellosa and Martin Steckermeier. The performance implications of locality information usage in shared-memory multiprocessors. Journal of Parallel and Distributed Computing, 37(1), 1996. doi: 10.1006/jpdc.1996.0112
[ bib | doi ]
[BWWK03] Frank Bellosa, Andreas Weissel, Martin Waitz, and Simon Kellner. Event-driven energy accounting for dynamic thermal management. In Proceedings of the Workshop on Compilers and Operating Systems for Low Power (COLP'03), September 2003.
[ bib | .pdf ]
[CCF+07] Jeonghwan Choi, Chen-Yong Cher, Hubertus Franke, Hendrik Hamann, Alan Weger, and Pradip Bose. Thermal-aware task scheduling at the system software level. In Proceedings of the 2007 International Symposium on Low-Power Electronics and Design (ISLPED'07). ACM, 2007. doi: 10.1145/1283780.1283826
[ bib | doi ]
[CGKS05] Dhruba Chandra, Fei Guo, Seongbeom Kim, and Yan Solihin. Predicting inter-thread cache contention on a chip multi-processor architecture. In HPCA '05: Proceedings of the 11th International Symposium on High-Performance Computer Architecture. IEEE Computer Society, February 2005. doi: 10.1109/HPCA.2005.27
[ bib | doi ]
[CJ08] Jian Chen and Lizy K. John. Energy-aware application scheduling on a heterogeneous multi-core system. In Proceedings of the IEEE International Symposium on Workload Characterization (IISWC'08), September 2008.
[ bib | .pdf ]
[CKS+04] Francisco J. Cazorla, Peter M.W. Knijnenburg, Rizos Sakellariou, Enrique Fern\'andez, Alex Ramirez, and Mateo Valero. Predictable performance in SMT processors. In CF '04: Proceedings of the 1st conference on Computing frontiers. ACM, April 2004. doi: 10.1145/977091.977152
[ bib | doi ]
[CMSB+08] Matthew Curtis-Maury, Ankur Shah, Filip Blagojevic, Dimitrios S. Nikolopoulos, Bronis R. de Supinski, and Martin Schulz. Prediction models for multi-dimensional power-performance optimization on many cores. In Proceedings of the Seventeenth Conference on Parallel Architectures and Compilation Techniques (PACT'08). ACM, October 2008. doi: 10.1145/1454115.1454151
[ bib | doi ]
[CRW07] Ayse Kivilcim Coskun, Tajana Simunic Rosing, and Keith Whisnant. Temperature aware task scheduling in MPSoCs. In Proceedings of the Conference on Design Automation and Test in Europe (DATE'07). EDA Consortium, April 2007. doi: 10.1109/DATE.2007.364540.
[ bib | doi ]
[CSP04] Kihwan Choi, Ramakrishna Soma, and Massoud Pedram. Dynamic voltage and frequency scaling based on workload decomposition. In Proceedings of the 2004 International Symposium on Low-Power Electronics and Design (ISLPED'04). ACM, August 2004. doi: 10.1145/1013235.1013282
[ bib | doi ]
[DM05] James Donald and Margaret Martonosi. Leveraging simultaneous multithreading for adaptive thermal control. In Second Workshop on Temperature-Aware Computer Systems (TACS'05), June 2005
[ bib ]
[DM06] James Donald and Margaret Martonosi. Techniques for multicore thermal management: Classification and new exploration. SIGARCH Computer Architecture News, 34(2), 2006. doi: 10.1145/1150019.1136493
[ bib | doi ]
[DR07] Gaurav Dhiman and Tajana Simunic Rosing. Dynamic voltage frequency scaling for multi-tasking systems using online learning. In Proceedings of the 2007 International Symposium on Low-Power Electronics and Design (ISLPED'07). ACM, August 2007. doi: 10.1145/1283780.1283825
[ bib | doi ]
[EMGAD06] Ali El-Moursy, Rajeev Garg, David H. Albonesi, and Sandhya Dwarkadas. Compatible phase co-scheduling on a CMP of multi-threaded processors. In 20th IEEE International Parallel and Distributed Processing Symposium, 2006 (IPDPS 2006). IEEE Computer Society, April 2006. doi: 10.1109/IPDPS.2006.1639376
[ bib | doi ]
[Fed06] Alexandra Fedorova. Operating System Scheduling for Chip Multithreaded Processors. PhD thesis, Harvard University, September 2006
[ bib ]
[FEL03] Xiaobo Fan, Carla Ellis, and Alvin Lebeck. Interaction of power-aware memory systems and processor voltage scaling. In Proceedings of the Workshop on Power-Aware Computer Systems (PACS'03), December 2003.
[ bib | .pdf ]
[Fle01] Marc Fleischmann. Longrun power management. White Paper, Transmeta Corporation, January 2001.
[ bib | .pdf ]
[FPL+07] Vincent W. Freeh, Feng Pan, David K. Lowenthal, Nandini Kappiah, Rob Springer, Barry L. Rountree, and Mark E. Femal. Analyzing the energy-time tradeoff in high-performance computing applications. IEEE Transactions on Parallel and Distributed Systems, 18(6), 2007. doi: 10.1109/TPDS.2007.1026.
[ bib | doi | .pdf ]
[FR92] Dror G. Feitelson and Larry Rudolph. Gang scheduling performance benefits for finegrained synchronization. Journal of Parallel and Distributed Computing, 16(4), 1992
[ bib ]
[FSSN05] Alexandra Fedorova, Margo Seltzer, Christoper Small, and Daniel Nussbaum. Performance of multithreaded chip multiprocessors and implications for operating system design. In ATEC '05: Proceedings of the annual USENIX Annual Technical Conference. USENIX Association, April 2005
[ bib ]
[GBCH01] Stephen H. Gunther, Frank Binns, Douglas M. Carmean, and Jonathan C. Hall. Managing the impact of increasing microprocessor power consumption. Intel Technology Journal, 2001. Q1 issue.
[ bib | pmbib ]
[GH96] Ricardo Gonzalez and Mark Horowitz. Energy dissipation in bibliography purpose microprocessors. IEEE Journal of Solid-State Circuits, 31(9), September 1996. doi: 10.1109/4.535411.
[ bib | doi | .pdf ]
[GPV04] Mohamed Gomaa, Michael D. Powell, and T. N. Vijaykumar. Heat-and-run: leveraging SMT and CMP to manage power density through the operating system. In ASPLOS-XI: Proceedings of the 11th international conference on Architectural support for programming languages and operating systems. ACM, October 2004. doi: 10.1145/1024393.1024424.
[ bib | doi | .pdf ]
[HBA03] Seongmoo Heo, Kenneth Barr, and Krste Asanovi. Reducing power density through activity migration. In Proceedings of the International Symposium on Low Power Electronics and Design (ISPLED'03). ACM, August 2003. doi: 10.1145/871506.871561
[ bib | doi ]
[Hen06] John L. Henning. SPEC CPU2006 benchmark descriptions. SIGARCH Computer Architecture News, 34(4), 2006. doi: 10.1145/1186736.1186737
[ bib | doi ]
[HF04] Chung-Hsing Hsu and Wu-Chun Feng. Effective dynamic voltage scaling through CPU-boundedness detection. In Proceedings of the Workshop on Power-Aware Computer Systems (PACS'04), December 2004.
[ bib | .pdf ]
[HF05] Chung-Hsing Hsu and Wu-Chun Feng. A power-aware run-time system for high-performance computing. In Proceedings of the ACM/IEEE Conference on Supercomputing (SC'05). IEEE Computer Society, November 2005. doi: 10.1109/SC.2005.3
[ bib | doi ]
[HIG94] Mark Horowitz, Thomas Indermaur, and Ricardo Gonzalez. Low-power digital design. In IEEE Symposium on Low Power Electronics. IEEE Computer Society, October 1994.
[ bib | .pdf ]
[HII+09] Andrew Herdrich, Ramesh Illikkal, Ravi Iyer, Don Newell, Vineet Chadha, and Jaideep Moses. Rate-based QoS techniques for cache/memory in CMP platforms. In ICS '09: Proceedings of the 23rd international conference on Supercomputing. ACM, June 2009
[ bib ]
[HKK06] Yongkui Han, Israel Koren, and C. M. Krishna. Temptor: A lightweight runtime temperature monitoring tool using performance counters. In Proceedings of the Third Workshop on Temperature-Aware Computer Systems (TACS'06), June 2006.
[ bib | .pdf ]
[HKS+07] Jaehyuk Huh, Changkyu Kim, Hazim Shafi, Lixin Zhang, Doug Burger, and Stephen W. Keckler. A NUCA substrate for flexible CMP cache sharing. IEEE Transactions on Parallel and Distributed Systems, 18(8), 2007. doi: 10.1109/TPDS.2007.1091
[ bib | doi ]
[HM07] Sebastian Herbert and Diana Marculescu. Analysis of dynamic voltage/frequency scaling in chip-multiprocessors. In Proceedings of the 2007 International Symposium on Low-Power Electronics and Design (ISLPED'07). ACM, August 2007. doi: 10.1145/1283780.1283790
[ bib | doi ]
[HNR68] Peter E. Hart, Nils N. Nilsson, and Bertram Raphael. A formal basis for the heuristic determination of minimum cost paths. IEEE Transactions on Systems Science and Cybernetics, 4(2), July 1968
[ bib ]
[HRIM06] Lisa R. Hsu, Steven K. Reinhardt, Ravishankar Iyer, and Srihari Makineni. Communist, utilitarian, and capitalist cache policies on CMPs: caches as a shared resource. In PACT '06: Proceedings of the 15th international conference on Parallel architectures and compilation techniques. ACM, September 2006. doi: 10.1145/1152154.1152161
[ bib | doi ]
[HSS+04] Wei Huang, Mircea R. Stan, Kevin Skadron, Karthik Sankaranarayanan, Shougata Ghosh, and Sivakumar Velusamy. Compact thermal modeling for temperature aware design. In Proceedings of the 41st Design Automation Conference (DAC'04). ACM, September 2004. doi: 10.1145/996566.996800.
[ bib | doi | .pdf ]
[HWW02] Jim Hoskins, Bill Wilson, and Ray Winkel. Exploring IBM EServer XSeries: The Instant Insider's Guide to IBM's Intel-Based Servers and Workstations. Maximum Press, 2002
[ bib ]
[IBC+06] Canturk Isci, Alper Buyuktosunoglu, Chen-Yong Cher, Pradip Bose, and Margaret Martonosi. An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MIRCO'06). IEEE Computer Society, December 2006. doi: 10.1109/MICRO.2006.8
[ bib | doi ]
[IM03] Canturk Isci and Margaret Martonosi. Runtime power monitoring in high-end processors: Methodology and empirical data. In Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture (MIRCO'03). IEEE Computer Society, December 2003. doi: 10.1109/MICRO.2003.1253186.
[ bib | doi | .pdf ]
[IM06] Canturk Isci and Margaret Martonosi. Phase characterization for power: Evaluating control-flow-based and event-counter-based techniques. In Proceedings of the Twelfth International Symposium on High-Performance Computer Architecture (HPCA'06). IEEE Computer Society, February 2006. doi: 10.1109/HPCA.2006.1598119
[ bib | doi ]
[IMB05] Canturk Isci, Margaret Martonosi, and Alper Buyuktosunoglu. Long-term workload phases: Duration predictions and applications to DVFS. IEEE Micro, 25(5), September 2005. doi: 10.1109/MM.2005.93
[ bib | doi ]
[Int02] Intel Corporation. Intel® Pentium® 4 Processor with 512-KB L2 Cache on 0.13 Micron Process Thermal Design Guidelines, November 2002.
[ bib | pmbib ]
[Int06] Intel Corporation. IA-32 Intel Architecture Software Developer's Manual Volume 3A: System Programming Guide, Part 1. 2006
[ bib ]
[JED08] JEDEC Solid State Technology Association. Failure mechanisms and models for semiconductor devices. JEDEC Publication, JEP122D, October 2008
[ bib ]
[Jon06] M. Tim Jones. Inside the Linux scheduler. IBM Developer Works, 2006
[ bib ]
[JSCT08] Yunlian Jiang, Xipeng Shen, Jie Chen, and Rahul Tripathi. Analysis and approximation of optimal co-scheduling on chip multiprocessors. In PACT '08: Proceedings of the 17th international conference on Parallel architectures and compilation techniques. ACM, October 2008. doi: 10.1145/1454115.1454146
[ bib | doi ]
[JWP+05] Philo Juang, Qiang Wu, Li-Shiuan Peh, Margaret Martonosi, and Douglas W. Clark. Coordinated, distributed, formal energy management of chip multiprocessors. In Proceedings of the 2005 International Symposium on Low-Power Electronics and Design (ISLPED'05). ACM, August 2005. doi: 10.1145/1077603.1077637
[ bib | doi ]
[KCBB06] Eren Kursun, Chen-Yong Cher, Alper Buyuktosunoglu, and Pradip Bose. Investigating the effects of task scheduling on thermal behavior. In Proceedings of the Third Workshop on Temperature-Aware Computer Systems (TACS'06), June 2006.
[ bib | .pdf ]
[KCCC08] Joonho Kong, Jinhang Choi, Lynn Choi, and Sung Woo Chung. Low-cost application-aware DVFS for multi-core architecture. In International Conference on Convergence Information Technology (ICCIT'08). IEEE Computer Society, November 2008. doi: 10.1109/ICCIT.2008.124
[ bib | doi ]
[KDG+04] Ramakrishna Kotla, Anirudh Devgan, Soraya Ghiasi, Tom Keller, and Freeman Rawson. Characterizing the impact of different memory-intensity levels. In Proceedings of the Seventh IEEE International Workshop on Workload Characterization (WWC-7), October 2004. doi: 10.1109/WWC.2004.1437388.
[ bib | doi ]
[KGKR05] Ramakrishna Kotla, Soraya Ghiasi, Tom Keller, and Freeman Rawson. Scheduling processor voltage and frequency in server and cluster systems. In IPDPS '05: Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 11. IEEE Computer Society, April 2005. doi: 10.1109/IPDPS.2005.392
[ bib | doi ]
[KGWB08] Wonyoung Kim, Meeta S. Gupta, Gu-Yeon Wei, and David Brooks. System level analysis of fast, per-core DVFS using on-chip switching regulators. In Proceedings of the 14th IEEE International Symposium on High-Performance Computer Architecture (HPCA'08), February 2008. doi: 10.1109/HPCA.2008.4658633.
[ bib | doi | .pdf ]
[KK06] Evangelos Koukis and Nectarios Koziris. Memory and network bandwidth aware scheduling of multiprogrammed workloads on clusters of SMPs. In ICPADS '06: Proceedings of the 12th International Conference on Parallel and Distributed Systems. IEEE Computer Society, 2006. doi: 10.1109/ICPADS.2006.59
[ bib | doi ]
[KSN07] Masaaki Kondo, Hiroshi Sasaki, and Hiroshi Nakamura. Improving fairness, throughput and energy-efficiency on a chip multiprocessor through DVFS. SIGARCH Computer Architecture News, 35(1), 2007. doi: 10.1145/1241601.1241609
[ bib | doi ]
[KSPJ06] Amit Kumar, Li Shang, Li-Shiuan Peh, and Niraj K. Jha. HybDTM: a coordinated hardware-software approach for dynamic thermal management. In Proceedings of the 43rd Design Automation Conference (DAC'06). ACM, July 2006. doi: 10.1109/DAC.2006.229219
[ bib | doi ]
[KST04] Ron Kalla, Balaram Sinharoy, and Joel M. Tendler. IBM Power5 chip: a dual-core multithreaded processor. IEEE Micro, 24(2), March 2004. doi: 10.1109/MM.2004.1289290
[ bib | doi ]
[KZT05] Rakesh Kumar, Victor Zyuban, and Dean M. Tullsen. Interconnections in multi-core architectures: Understanding mechanisms, overheads and scaling. SIGARCH Computer Architecture News, 33(2), 2005. doi: 10.1145/1080695.1070004
[ bib | doi ]
[LCCF08] Wen-Yew Liang, Shih-Chang Chen, Yang-Lang Chang, and Jyh-Perng Fang. Memory-aware dynamic voltage and frequency prediction for portable devices. In Proceedings of the Fourteenth IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA'08), August 2008. doi: 10.1109/RTCSA.2008.19
[ bib | doi ]
[Lee06] Benjamin C. Lee. An architectural assessment of SPEC CPU benchmark relevance. Technical Report TR-02-06, Harvard University, January 2006
[ bib ]
[LFZE00] Alvin Lebeck, Xiaobo Fan, Heng Zeng, and Carla Ellis. Power aware page allocation. In Proceedings of the Ninth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'00). ACM, November 2000. doi: 10.1145/384264.379007.
[ bib | doi | .pdf ]
[LM06] Jian Li and Jose F. Martinez. Dynamic power-performance adaptation of parallel computation on chip multiprocessors. In Proceedings of the Twelfth International Symposium on High-Performance Computer Architecture (HPCA'06). IEEE Computer Society, February 2006. doi: 10.1109/HPCA.2006.1598114.
[ bib | doi | .pdf ]
[LS05] Kyeong-Jae Lee and Kevin Skadron. Using performance counters for runtime temperature sensing in high-performance processors. In Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 11, April 2005. doi: 10.1109/IPDPS.2005.448.
[ bib | doi | .pdf ]
[LSK04] Chun Liu, Anand Sivasubramaniam, and Mahmut Kandemir. Organizing the last line of defense before hitting the memory wall for CMPs. In HPCA '04: Proceedings of the 10th International Symposium on High Performance Computer Architecture. IEEE Computer Society, February 2004. doi: 10.1109/HPCA.2004.10017
[ bib | doi ]
[LVE00] Jochen Liedtke, Marcus Voelp, and Kevin Elphinstone. Preliminary thoughts on memory-bus scheduling. In EW 9: Proceedings of the 9th ACM SIGOPS European workshop. ACM, September 2000. doi: 10.1145/566726.566768
[ bib | doi ]
[MAN05] Robert L. McGregor, Christos D. Antonopoulos, and Dimitrios S. Nikolopoulos. Scheduling algorithms for effective thread pairing on hybrid multiprocessors. In IPDPS '05: Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Papers. IEEE Computer Society, April 2005. doi: 10.1109/IPDPS.2005.390
[ bib | doi ]
[MB06] Andreas Merkel and Frank Bellosa. Balancing power consumption in multiprocessor systems. In Proceedings of the First ACM SIGOPS EuroSys Conference. ACM, April 2006. doi: 10.1145/1217935.1217974OQ.
[ bib | doi | http ]
[MB08a] Andreas Merkel and Frank Bellosa. Memory-aware scheduling for energy efficiency on multicore processors. In Proceedings of the Workshop on Power Aware Computing and Systems (HotPower'08), December 2008
[ bib ]
[MB08b] Andreas Merkel and Frank Bellosa. Task activity vectors: A new metric for temperature-aware scheduling. In Proceedings of the Third ACM SIGOPS EuroSys Conference. ACM, March 2008. doi: 10.1145/1352592.1352594
[ bib | doi ]
[MBH+02] Deborah T. Marr, Frank Binns, David L. Hill, Glenn Hinton, David A. Kofaty, J. Alan Miller, and Michael Upton. Hyper-Threading technology architecture and microarchitecture. Intel Technology Journal, 2002. Q1 issue
[ bib ]
[MDHS09] Todd Mytkowicz, Amer Diwan, Matthias Hauswirth, and Peter F. Sweeney. Producing wrong data without doing anything obviously wrong! In ASPLOS '09: Proceeding of the 14th international conference on Architectural support for programming languages and operating systems. ACM, March 2009. doi: 10.1145/1508244.1508275
[ bib | doi ]
[Mer05] Andreas Merkel. Balancing power consumption in multiprocessor systems. Diploma Thesis, Universität Karlsruhe (TH), System Architecture Group, September 2005.
[ bib | .pdf ]
[MM07] Thomas Moscibroda and Onur Mutlu. Memory performance attacks: denial of memory service in multi-core systems. In SS'07: Proceedings of 16th USENIX Security Symposium. USENIX Association, August 2007
[ bib ]
[MS06] Pierre Michaud and Yiannakis Sazeides. Scheduling issues on thermally-constrained processors. Technical report, Institut de Recherche en Informatique et Systemes Aleatoires, October 2006.
[ bib | .pdf ]
[Mud01] Trevor Mudge. Power: A first-class architectural design constraint. IEEE Computer, 34(4), April 2001. doi: 10.1109/2.917539
[ bib | doi ]
[NP02] Jun Nakajima and Venkatesh Pallipadi. Enhancements for Hyper-Threading technology in the operating system: seeking the optimal scheduling. In WIESS'02: Proceedings of the 2nd Workshop on Industrial Experiences with Systems Software. USENIX Association, December 2002
[ bib ]
[NRM+06] Alon Naveh, Efraim Rotem, Avi Mendelson, Simcha Gochman, Rajshree Chabukswar, Karthik Krishnan, and Arun Kumar. Power and thermal management in the Intel Core Duo processor. Intel Technology Journal, 10(2), 2006. doi: 10.1535/itj.1002.03.
[ bib | doi | .pdf ]
[ONH+96] Kunle Olukotun, Basem A. Nayfeh, Lance Hammond, Ken Wilson, and Kunyung Chang. The case for a single-chip multiprocessor. SIGPLAN Notices, 31(9), 1996. doi: 10.1145/248209.237140
[ bib | doi ]
[Ous82] John K. Ousterhout. Scheduling techniques for concurrent systems. In Proceedings of the 3rd International Conference on Distributed Computing Systems. IEEE Computer Society, October 1982
[ bib ]
[PELL00] Sujay Parekh, Susan Eggers, Henry Levy, and Jack Lo. Thread-sensitive scheduling for SMT processors. Technical report, University of Washington, May 2000
[ bib ]
[RHAH06] Efraim Rothem, Jim Hermerding, Cohen Aviad, and Cain Harel. Temperature measurement in the Intel Core Duo processor. In Proceedings of the Twelfth International Workshop on Thermal Investigations of ICs (THERMINIC'06), August 2006.
[ bib | pmbib ]
[RLA07] Mohan Rajagopalan, Brian T. Lewis, and Todd A. Anderson. Thread scheduling for multi-core platforms. In HOTOS'07: Proceedings of the 11th USENIX workshop on Hot topics in operating systems. USENIX Association, May 2007
[ bib ]
[RLT06] Nauman Rafique, Won-Taek Lim, and Mithuna Thottethodi. Architectural support for operating system-driven CMP cache management. In PACT '06: Proceedings of the 15th international conference on Parallel architectures and compilation techniques. ACM, September 2006. doi: 10.1145/1152154.1152160
[ bib | doi ]
[RWB09] Krishna K. Rangan, Gu-Yeon Wei, and David Brooks. Thread motion: fine-grained power management for multi-core systems. In Proceedings of the 36th International Symposium on Computer Architecture (ISCA'09). ACM, June 2009. doi: 10.1145/1555754.1555793
[ bib | doi ]
[SABR04] Jayanth Srinivasan, Sarita V. Adve, Pradip Bose, and Jude A. Rivers. The case for lifetime reliability-aware microprocessors. SIGARCH Computer Architecture News, 32(2), 2004. doi: 10.1145/1028176.1006725
[ bib | doi ]
[SBB07] Joseph Sharkey, Alper Buyuktosunoglu, and Pradip Bose. Evaluating design tradeoffs in on-chip power management for CMPs. In Proceedings of the 2007 International Symposium on Low-Power Electronics and Design (ISLPED'07). ACM, August 2007. doi: 10.1145/1283780.1283791
[ bib | doi ]
[SDR02] G. Edward Suh, Srinivas Devadas, and Larry Rudolph. A new memory monitoring scheme for memory-aware scheduling and partitioning. In HPCA '02: Proceedings of the 8th International Symposium on High-Performance Computer Architecture. IEEE Computer Society, February 2002
[ bib ]
[SF91] Gurindar S. Sohi and Manoj Franklin. High-bandwidth data memory systems for superscalar processors. SIGPLAN Notices, 26(4), 1991. doi: 10.1145/106973.106980
[ bib | doi ]
[SL93] Mark Steven Squillante and Edward D. Lazowska. Using processor-cache affinity information in shared-memory multiprocessor scheduling. IEEE Transactions on Parallel and Distributed Systems, 4(2), 1993. doi: 10.1109/71.207589
[ bib | doi ]
[SLSPH09] David C. Snowdon, Etienne Le Sueur, Stefan M. Petters, and Gernot Heiser. Koala: a platform for OS-level power management. In EuroSys '09: Proceedings of the 4th ACM European Conference on Computer Systems. ACM, March 2009. doi: 10.1145/1519065.1519097
[ bib | doi ]
[SPH07] David C. Snowdon, Stefan M. Petters, and Gernot Heiser. Accurate on-line prediction of processor and memory energy usage under voltage scaling. In Proceedings of the Seventh ACM International Conference on Embedded Software (EMSOFT'07). ACM, October 2007. doi: 10.1145/1289927.1289945
[ bib | doi ]
[SPM07] Suresh Siddha, Venkatesh Pallipadi, and Asit Mallick. Process scheduling challenges in the era of multi-core processors. Intel Technology Journal, 11(4), 2007
[ bib ]
[SSH+03] Kevin Skadron, Mircea R. Stan, Wei Huang, Sivakumar Velusamy, Karthik Sankaranarayanan, and David Tarjan. Temperature-aware microarchitecture. In Proceedings of the 30th International Symposium on Computer Architecture (ISCA'03). ACM, June 2003. doi: 10.1145/859618.859620
[ bib | doi ]
[ST00] Allan Snavely and Dean M. Tullsen. Symbiotic jobscheduling for a simultaneous multithreaded processor. In ASPLOS-IX: Proceedings of the 9th international conference on Architectural support for programming languages and operating systems. ACM, November 2000. doi: 10.1145/378993.379244
[ bib | doi ]
[ST07] Kyriakos Stavrou and Pedro Trancoso. Thermal-aware scheduling for future chip multiprocessors. EURASIP Journal on Embedded Systems, 2007(1), 2007. doi: 10.1155/2007/48926
[ bib | doi ]
[SvdLPH07] David C. Snowdon, Godfrey van der Linden, Stefan M. Petters, and Gernot Heiser. Accurate run-time prediction of performance degradation under frequency scaling. In 3rd Workshop on Operating System Platforms for Embedded Real-Time Applications, July 2007.
[ bib | .pdf ]
[SW95] Patrick Sobalvarro and William E. Weihl. Demand-based coscheduling of parallel jobs on multiprogrammed multiprocessors. In IPPS '95: Proceedings of the Workshop on Job Scheduling Strategies for Parallel Processing. Springer-Verlag, April 1995
[ bib ]
[TAS07] David Tam, Reza Azimi, and Michael Stumm. Thread clustering: sharing-aware scheduling on SMP-CMP-SMT multiprocessors. In EuroSys '07: Proceedings of the 2nd ACM SIGOPS/EuroSys European Conference on Computer Systems 2007. ACM, March 2007. doi: 10.1145/1272996.1273004
[ bib | doi ]
[TEL95] Dean M. Tullsen, Susan J. Eggers, and Henry M. Levy. Simultaneous multithreading: Maximizing on-chip parallelism. In ISCA '95: Proceedings of the 22nd Annual International Symposium on Computer Architecture. IEEE Computer Society, June 1995
[ bib ]
[VKT06] Matthew De Vuyst, Rakesh Kumar, and Dean M. Tullsen. Exploiting unbalanced thread scheduling for energy and performance on a CMP of SMT processors. In Proceedings of the 20th IEEE International Parallel and Distributed Processing Symposium (IPDPS'06). IEEE Computer Society, April 2006. doi: 10.1109/IPDPS.2006.1639374
[ bib | doi ]
[VWWL00] Ram Viswanath, Vijay Wakharkar, Abhay Watwe, and Vassou Lebonheur. Thermal performance challenges from silicon to systems. Intel Technology Journal, 2000. Q3 issue.
[ bib | pmbib ]
[WA08] Jonathan A. Winter and David H. Albonesi. Addressing thermal nonuniformity in SMT workloads. ACM Transactions on Architecture and Code Optimimizations, 5(1), 2008. doi: 10.1145/1369396.1369400
[ bib | doi ]
[WB02] Andreas Weissel and Frank Bellosa. Process cruise control: Event-driven clock scaling for dynamic power management. In Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES'02). ACM, October 2002. doi: 10.1145/581630.581668
[ bib | doi ]
[Wec06] Ofri Wechsler. Inside Intel Core Microarchitecture. Intel Corporation, 2006
[ bib ]
[WM08] Vincent M. Weaver and Sally A. McKee. Can hardware performance counters be trusted? In Proceedings of the IEEE International Symposium on Workload Characterization (IISWC'08). IEEE Computer Society, September 2008. doi: 10.1109/IISWC.2008.4636099
[ bib | doi ]
[YC01] Lian-Tuu Yeh and Richard C. Chu. Thermal Management of Microelectronic Equipment. American Society of Mechanical Engineers, 2001
[ bib ]
[YSBZ05] Li Yingmin, Kevin Skadron, David Brooks, and Hu Zhigang. Performance, energy, and thermal considerations for SMT and CMP architectures. In Proceedings of the Eleventh International Symposium on High-Performance Computer Architecture (HPCA'05). IEEE Computer Society, February 2005. doi: 10.1109/HPCA.2005.25
[ bib | doi ]
[ZDFS07] Xiao Zhang, Sandhya Dwarkadas, Girts Folkmanis, and Kai Shen. Processor hardware counter statistics as a first-class system resource. In HOTOS'07: Proceedings of the 11th USENIX workshop on hot topics in operating systems. USENIX Association, May 2007
[ bib ]
[ZII+07] Li Zhao, Ravi Iyer, Ramesh Illikkal, Jaideep Moses, Srihari Makineni, and Don Newell. CacheScouts: Fine-grain monitoring of shared caches in CMP platforms. In PACT'07: Proceedings of the 16th International Conference on Parallel Architecture and Compilation Techniques. IEEE Computer Society, September 2007. doi: 10.1109/PACT.2007.19
[ bib | doi ]