bibliography.bib

@inproceedings{1283791,
  author =        {Sharkey, Joseph and Buyuktosunoglu, Alper and Bose, Pradip},
  booktitle =     {Proceedings of the 2007 International Symposium on Low-Power Electronics and Design (ISLPED'07)},
  month =         aug,
  publisher =     {ACM},
  title =         {Evaluating design tradeoffs in on-chip power management for {CMP}s},
  year =          {2007},
  doi =           {10.1145/1283780.1283791},
}

@article{AB06,
  address =       {Los Alamitos, CA, USA},
  author =        {Jeff Andrews and Nick Baker},
  journal =       {IEEE Micro},
  number =        {2},
  publisher =     {IEEE Computer Society},
  title =         {Xbox 360 System Architecture},
  volume =        {26},
  year =          {2006},
  doi =           {10.1109/MM.2006.45},
  issn =          {0272-1732},
}

@inproceedings{ABDG97,
  author =        {Anderson, Jennifer M. and Berc, Lance M. and Dean, Jeffrey and Ghemawat, Sanjay and Henzinger, Monika R. and Leung, Shun-Tak A. and Sites, Richard L. and Vandevoorde, Mark T. and Waldspurger, Carl A. and Weihl, William E.},
  booktitle =     {Proceedings of the 16th Symposium on Operating Systems Principles ({SOSP}'97)},
  month =         oct,
  publisher =     {ACM},
  title =         {Continuous profiling: where have all the cycles gone?},
  year =          {1997},
  doi =           {10.1145/265924.265925EO},
}

@inproceedings{ACD06,
  author =        {Anderson,, James H. and Calandrino,, John M. and Devi,, Uma Maheswari C.},
  booktitle =     {RTAS '06: Proceedings of the 12th IEEE Real-Time and Embedded Technology and Applications Symposium},
  month =         apr,
  publisher =     {IEEE Computer Society},
  title =         {Real-Time Scheduling on Multicore Platforms},
  year =          {2006},
  doi =           {10.1109/RTAS.2006.35},
  isbn =          {0-7695-2516-4},
}

@article{AHH89,
  author =        {Amant Agarwal and Mark Horowitz and John Hennessy},
  journal =       {ACM Transactions on Computer Systems},
  number =        {2},
  publisher =     {ACM},
  title =         {An analytical cache model},
  volume =        {7},
  year =          {1989},
  doi =           {10.1145/63404.63407},
  issn =          {0734-2071},
}

@inproceedings{BBR95,
  author =        {Burd,, Thomas D. and Brodersen,, Robert W.},
  booktitle =     {HICSS '95: Proceedings of the 28th Hawaii International Conference on System Sciences},
  publisher =     {IEEE Computer Society},
  title =         {Energy efficient {CMOS} microprocessor design},
  year =          {1995},
  doi =           {10.1109/HICSS.1995.375385},
  isbn =          {0-8186-6930-6},
}

@inproceedings{BH04,
  author =        {Erik Berg and Erik Hagersten},
  booktitle =     {ISPASS '04: Proceedings of the 2004 IEEE International Symposium on Performance Analysis of Systems and Software},
  month =         mar,
  publisher =     {IEEE Computer Society},
  title =         {{StatCache}: a probabilistic approach to efficient and accurate data locality analysis},
  year =          {2004},
  doi =           {10.1109/ISPASS.2004.1291352},
  isbn =          {0-7803-8385-0},
}

@inproceedings{BM01,
  author =        {David Brooks and Margaret Martonosi},
  booktitle =     {Proceedings of the Seventh International Symposium on High-Performance Computer Architecture (HPCA'01)},
  month =         jan,
  publisher =     {IEEE Computer Society},
  title =         {Dynamic Thermal Management For High-Performance Microprocessors},
  year =          {2001},
  doi =           {10.1109/HPCA.2001.903261},
  url =           {http://parapet.ee.princeton.edu/papers/dbrooks-hpca2001.pdf},
}

@inproceedings{BP04,
  author =        {James R. Bulpin and Ian A. Pratt},
  booktitle =     {Third Annual Workshop on Duplicating, Deconstruction and Debunking},
  month =         jun,
  title =         {Multiprogramming Performance of the {Pentium} 4 with {Hyper-Threading}},
  year =          {2004},
}

@inproceedings{BP05,
  author =        {James R. Bulpin and Ian A. Pratt},
  booktitle =     {ATEC '05: Proceedings of the USENIX Annual Technical Conference},
  month =         apr,
  publisher =     {USENIX Association},
  title =         {Hyper-threading aware process scheduling heuristics},
  year =          {2005},
}

@inproceedings{BPA08,
  author =        {Banikazemi, Mohammad and Poff, Dan and Abali, Bulent},
  booktitle =     {Proceedings of the 2008 ACM/IEEE Conference on Supercomputing (SC'08)},
  month =         nov,
  publisher =     {IEEE Computer Society},
  title =         {{PAM}: a novel performance/power aware meta-scheduler for multi-core systems},
  year =          {2008},
  doi =           {10.1145/1413370.1413410},
  isbn =          {978-1-4244-2835-9},
}

@inproceedings{BPJM07,
  author =        {Sarah Bird and Aashish Phansalkar and Lizy K. John and Alex Mercas and Rajeev Idukuru},
  booktitle =     {SPEC Benchmark Workshop},
  month =         jan,
  title =         {Performance Characterization of {SPEC} {CPU} Benchmarks on {Intel's} {Core} Microarchitecture based processor},
  year =          {2007},
}

@article{BS96,
  address =       {Orlando, FL, USA},
  author =        {Bellosa, Frank and Steckermeier, Martin},
  journal =       {Journal of Parallel and Distributed Computing},
  number =        {1},
  publisher =     {Academic Press, Inc.},
  title =         {The performance implications of locality information usage in shared-memory multiprocessors},
  volume =        {37},
  year =          {1996},
  doi =           {10.1006/jpdc.1996.0112},
  issn =          {0743-7315},
}

@inproceedings{BWWK03,
  author =        {Frank Bellosa and Andreas Weissel and Martin Waitz and Simon Kellner},
  booktitle =     {Proceedings of the Workshop on Compilers and Operating Systems for Low Power (COLP'03)},
  month =         sep,
  title =         {Event-Driven Energy Accounting for Dynamic Thermal Management},
  year =          {2003},
  url =           {http://i30www.ira.uka.de/research/documents/bellosa/2003/Bellosa-Weissel-Kellner-Waitz-COLP03-Thermal_Management.pdf},
}

@techreport{Bel97a,
  author =        {Frank Bellosa},
  institution =   {University of Erlangen, Department of Computer Science},
  month =         jul,
  number =        {TR-I4-97-2},
  title =         {Process Cruise Control: Throttling Memory Access in a Soft Real-Time Environement},
  year =          {1997},
  url =           {http://www4.informatik.uni-erlangen.de/TR/pdf/TR-I4-97-02.pdf},
}

@misc{Bel97b,
  author =        {Frank Bellosa},
  booktitle =     {Sixteenth Symposium on Operating Systems Principles (SOSP '97), Work in Progress Session},
  month =         oct,
  type =          {Poster},
  title =         {Follow-On Scheduling: Using {TLB} Information to Reduce Cache Misses. {In: Sixteenth Symposium on Operating Systems Principles (SOSP '97), Work in Progress Session}},
  year =          {1997},
  url =           {http://i30www.ira.uka.de/research/publications/},
}

@inproceedings{CCFH06,
  author =        {Jeonghwan Choi and Chen-Yong Cher and Hubertus Franke and Hendrik Hamann and Alan Weger and Pradip Bose},
  booktitle =     {Proceedings of the 2007 International Symposium on Low-Power Electronics and Design (ISLPED'07)},
  publisher =     {ACM},
  title =         {Thermal-aware Task Scheduling at the System Software Level},
  year =          {2007},
  doi =           {10.1145/1283780.1283826},
}

@inproceedings{CGKS05,
  author =        {Dhruba Chandra and Fei Guo and Seongbeom Kim and Yan Solihin},
  booktitle =     {HPCA '05: Proceedings of the 11th International Symposium on High-Performance Computer Architecture},
  month =         feb,
  publisher =     {IEEE Computer Society},
  title =         {Predicting Inter-Thread Cache Contention on a Chip Multi-Processor Architecture},
  year =          {2005},
  doi =           {10.1109/HPCA.2005.27},
  isbn =          {0-7695-2275-0},
}

@inproceedings{CJ08,
  author =        {Jian Chen and Lizy K. John},
  booktitle =     {Proceedings of the IEEE International Symposium on Workload Characterization (IISWC'08)},
  month =         sep,
  title =         {Energy-Aware Application Scheduling on a Heterogeneous Multi-core System},
  year =          {2008},
  url =           {http://www.iiswc.org/iiswc2008/Papers/001.pdf},
}

@inproceedings{CKSF04,
  author =        {Francisco J. Cazorla and Peter M.W. Knijnenburg and Rizos Sakellariou and Enrique Fern\'{a}ndez and Alex Ramirez and Mateo Valero},
  booktitle =     {CF '04: Proceedings of the 1st conference on Computing frontiers},
  month =         apr,
  publisher =     {ACM},
  title =         {Predictable performance in {SMT} processors},
  year =          {2004},
  doi =           {10.1145/977091.977152},
  isbn =          {1-58113-741-9},
}

@inproceedings{CRW07,
  author =        {Ayse Kivilcim Coskun and Tajana Simunic Rosing and Keith Whisnant},
  booktitle =     {Proceedings of the Conference on Design Automation and Test in Europe (DATE'07)},
  month =         apr,
  publisher =     {EDA Consortium},
  title =         {Temperature Aware Task Scheduling in {MPSoCs}},
  year =          {2007},
  doi =           {10.1109/DATE.2007.364540},
  url =           {http://www.cse.ucsd.edu/~acoskun/coskun_date07_thermal.pdf},
}

@inproceedings{CSBN08,
  author =        {Curtis-Maury, Matthew and Shah, Ankur and Blagojevic, Filip and Nikolopoulos, Dimitrios S. and de Supinski, Bronis R. and Schulz, Martin},
  booktitle =     {Proceedings of the Seventeenth Conference on Parallel Architectures and Compilation Techniques (PACT'08)},
  month =         oct,
  publisher =     {ACM},
  title =         {Prediction models for multi-dimensional power-performance optimization on many cores},
  year =          {2008},
  doi =           {10.1145/1454115.1454151},
  isbn =          {978-1-60558-282-5},
}

@inproceedings{CSP04,
  author =        {Kihwan Choi and Ramakrishna Soma and Massoud Pedram},
  booktitle =     {Proceedings of the 2004 International Symposium on Low-Power Electronics and Design (ISLPED'04)},
  month =         aug,
  publisher =     {ACM},
  title =         {Dynamic Voltage and Frequency Scaling based on Workload Decomposition},
  year =          {2004},
  doi =           {10.1145/1013235.1013282},
}

@inproceedings{DKT06,
  author =        {Matthew De Vuyst and Rakesh Kumar and Dean M. Tullsen},
  booktitle =     {Proceedings of the 20th IEEE International Parallel and Distributed Processing Symposium (IPDPS'06)},
  month =         apr,
  publisher =     {IEEE Computer Society},
  title =         {Exploiting unbalanced thread scheduling for energy and performance on a {CMP} of {SMT} processors},
  year =          {2006},
  doi =           {10.1109/IPDPS.2006.1639374},
}

@inproceedings{DM05,
  author =        {James Donald and Margaret Martonosi},
  booktitle =     {Second Workshop on Temperature-Aware Computer Systems (TACS'05)},
  month =         jun,
  type =          {publication},
  title =         {Leveraging Simultaneous Multithreading for Adaptive Thermal Control},
  year =          {2005},
}

@article{DM06,
  address =       {New York, NY, USA},
  author =        {James Donald and Margaret Martonosi},
  journal =       {SIGARCH Computer Architecture News},
  number =        {2},
  publisher =     {ACM},
  title =         {Techniques for Multicore Thermal Management: Classification and New Exploration},
  volume =        {34},
  year =          {2006},
  doi =           {10.1145/1150019.1136493},
  issn =          {0163-5964},
}

@inproceedings{DR07,
  author =        {Gaurav Dhiman and Tajana Simunic Rosing},
  booktitle =     {Proceedings of the 2007 International Symposium on Low-Power Electronics and Design (ISLPED'07)},
  month =         aug,
  publisher =     {ACM},
  title =         {Dynamic voltage frequency scaling for multi-tasking systems using online learning},
  year =          {2007},
  doi =           {10.1145/1283780.1283825},
  isbn =          {978-1-59593-709-4},
}

@inproceedings{EGAD06,
  author =        {El-Moursy, Ali and Garg, Rajeev and Albonesi, David H. and Dwarkadas, Sandhya},
  booktitle =     {20th IEEE International Parallel and Distributed Processing Symposium, 2006 (IPDPS 2006)},
  month =         apr,
  publisher =     {IEEE Computer Society},
  title =         {Compatible phase co-scheduling on a {CMP} of multi-threaded processors},
  year =          {2006},
  doi =           {10.1109/IPDPS.2006.1639376},
}

@inproceedings{FEL03,
  author =        {Xiaobo Fan and Carla Ellis and Alvin Lebeck},
  booktitle =     {Proceedings of the Workshop on Power-Aware Computer Systems (PACS'03)},
  month =         dec,
  title =         {Interaction of Power-aware Memory Systems and Processor Voltage Scaling},
  year =          {2003},
  url =           {http://www.cs.duke.edu/ari/millywatt/papa-dvs.pdf},
}

@article{FPLK07,
  author =        {Vincent W. Freeh and Feng Pan and David K. Lowenthal and Nandini Kappiah and Rob Springer and Barry L. Rountree and Mark E. Femal},
  journal =       {IEEE Transactions on Parallel and Distributed Systems},
  number =        {6},
  title =         {Analyzing the energy-time tradeoff in high-performance computing applications},
  volume =        {18},
  year =          {2007},
  doi =           {10.1109/TPDS.2007.1026},
  url =           {http://www4.ncsu.edu/~vwfreeh/tpds.pdf},
}

@article{FR92,
  author =        {Dror G. Feitelson and Larry Rudolph},
  journal =       {Journal of Parallel and Distributed Computing},
  number =        {4},
  publisher =     {Academic Press, Inc.},
  title =         {Gang Scheduling Performance Benefits for FineGrained Synchronization},
  volume =        {16},
  year =          {1992},
}

@inproceedings{FSSN05,
  author =        {Fedorova,, Alexandra and Seltzer,, Margo and Small,, Christoper and Nussbaum,, Daniel},
  booktitle =     {ATEC '05: Proceedings of the annual USENIX Annual Technical Conference},
  month =         apr,
  publisher =     {USENIX Association},
  title =         {Performance of multithreaded chip multiprocessors and implications for operating system design},
  year =          {2005},
}

@phdthesis{Fed06,
  author =        {Alexandra Fedorova},
  month =         sep,
  school =        {Harvard University},
  title =         {Operating System Scheduling for Chip Multithreaded Processors},
  year =          {2006},
}

@misc{Fle01,
  author =        {Marc Fleischmann},
  howpublished =  {White Paper, Transmeta Corporation},
  month =         jan,
  title =         {LongRun Power Management},
  year =          {2001},
  url =           {http://www.transmeta.com/pdfs/paper_mfleischmann_17jan01.pdf},
}

@article{GBC01,
  author =        {Stephen H. Gunther and Frank Binns and Douglas M. Carmean and Jonathan C. Hall},
  journal =       {Intel Technology Journal},
  note =          {Q1 issue},
  title =         {Managing the Impact of Increasing Microprocessor Power Consumption},
  year =          {2001},
  url =           {http://www.intel.com/technology/itj/q12001/articles/art_4.htm},
}

@article{GH96,
  author =        {Ricardo Gonzalez and Mark Horowitz},
  journal =       {IEEE Journal of Solid-State Circuits},
  month =         sep,
  number =        {9},
  title =         {Energy Dissipation in bibliography Purpose Microprocessors},
  volume =        {31},
  year =          {1996},
  doi =           {10.1109/4.535411},
  url =           {http://www-vlsi.stanford.edu/papers/reg_jssc_9_96.pdf},
}

@inproceedings{GPV04,
  author =        {Mohamed Gomaa and Michael D. Powell and T. N. Vijaykumar},
  booktitle =     {ASPLOS-XI: Proceedings of the 11th international conference on Architectural support for programming languages and operating systems},
  month =         oct,
  publisher =     {ACM},
  title =         {Heat-and-run: leveraging {SMT} and {CMP} to manage power density through the operating system},
  year =          {2004},
  doi =           {10.1145/1024393.1024424},
  isbn =          {1-58113-804-0},
  url =           {http://www.ece.purdue.edu/~vijay/papers/2004/heat-and-run.pdf},
}

@inproceedings{HBA03,
  author =        {Seongmoo Heo and Kenneth Barr and Krste Asanovi},
  booktitle =     {Proceedings of the International Symposium on Low Power Electronics and Design ({ISPLED'03})},
  month =         aug,
  publisher =     {ACM},
  title =         {Reducing power density through activity migration},
  year =          {2003},
  doi =           {10.1145/871506.871561},
}

@inproceedings{HF04,
  author =        {Chung-{Hsing} Hsu and Wu-{Chun} Feng},
  booktitle =     {Proceedings of the Workshop on Power-Aware Computer Systems (PACS'04)},
  month =         dec,
  title =         {Effective Dynamic Voltage Scaling through {CPU}-boundedness Detection},
  year =          {2004},
  url =           {http://sss.lanl.gov/pubs/pacs04-lncs.pdf},
}

@inproceedings{HF05,
  author =        {Hsu, Chung-Hsing and Feng, Wu-Chun},
  booktitle =     {Proceedings of the ACM/IEEE Conference on Supercomputing (SC'05)},
  month =         nov,
  publisher =     {IEEE Computer Society},
  title =         {A Power-Aware Run-Time System for High-Performance Computing},
  year =          {2005},
  doi =           {10.1109/SC.2005.3},
  isbn =          {1-59593-061-2},
}

@inproceedings{HIG94,
  author =        {Mark Horowitz and Thomas Indermaur and Ricardo Gonzalez},
  booktitle =     {IEEE Symposium on Low Power Electronics},
  month =         oct,
  publisher =     {IEEE Computer Society},
  title =         {Low-Power Digital Design},
  year =          {1994},
  url =           {http://mos.stanford.edu/papers/mah_slpe_94.pdf},
}

@inproceedings{HIIN09,
  author =        {Herdrich, Andrew and Illikkal, Ramesh and Iyer, Ravi and Newell, Don and Chadha, Vineet and Moses, Jaideep},
  booktitle =     {ICS '09: Proceedings of the 23rd international conference on Supercomputing},
  month =         jun,
  publisher =     {ACM},
  title =         {Rate-based {QoS} techniques for cache/memory in {CMP} platforms},
  year =          {2009},
  isbn =          {978-1-60558-498-0},
}

@inproceedings{HKK06,
  author =        {Yongkui Han and Israel Koren and C. M. Krishna},
  booktitle =     {Proceedings of the Third Workshop on Temperature-Aware Computer Systems (TACS'06)},
  month =         jun,
  title =         {{Temptor}: A Lightweight Runtime Temperature Monitoring Tool Using Performance Counters},
  year =          {2006},
  url =           {http://www.eecs.harvard.edu/~dbrooks/tacs06/tacs_yhan.pdf},
}

@article{HKSZ07,
  author =        {Jaehyuk Huh and Changkyu Kim and Hazim Shafi and Lixin Zhang and Doug Burger and Stephen W. Keckler},
  journal =       {IEEE Transactions on Parallel and Distributed Systems},
  number =        {8},
  publisher =     {IEEE Computer Society},
  title =         {A {NUCA} Substrate for Flexible {CMP} Cache Sharing},
  volume =        {18},
  year =          {2007},
  doi =           {10.1109/TPDS.2007.1091},
  issn =          {1045-9219},
}

@inproceedings{HM07,
  author =        {Sebastian Herbert and Diana Marculescu},
  booktitle =     {Proceedings of the 2007 International Symposium on Low-Power Electronics and Design (ISLPED'07)},
  month =         aug,
  publisher =     {ACM},
  title =         {Analysis of dynamic voltage/frequency scaling in chip-multiprocessors},
  year =          {2007},
  doi =           {10.1145/1283780.1283790},
  isbn =          {978-1-59593-709-4},
}

@article{HNR68,
  author =        {Peter E. Hart and Nils N. Nilsson and Bertram Raphael},
  journal =       {IEEE Transactions on Systems Science and Cybernetics},
  month =         jul,
  number =        {2},
  title =         {A Formal Basis for the Heuristic Determination of Minimum Cost Paths},
  volume =        {4},
  year =          {1968},
}

@inproceedings{HRIM06,
  author =        {Lisa R. Hsu and Steven K. Reinhardt and Ravishankar Iyer and Srihari Makineni},
  booktitle =     {PACT '06: Proceedings of the 15th international conference on Parallel architectures and compilation techniques},
  month =         sep,
  publisher =     {ACM},
  title =         {Communist, utilitarian, and capitalist cache policies on {CMPs}: caches as a shared resource},
  year =          {2006},
  doi =           {10.1145/1152154.1152161},
  isbn =          {1-59593-264-X},
}

@inproceedings{HSSS04,
  author =        {Wei Huang and Mircea R. Stan and Kevin Skadron and Karthik Sankaranarayanan and Shougata Ghosh and Sivakumar Velusamy},
  booktitle =     {Proceedings of the 41st Design Automation Conference (DAC'04)},
  month =         sep,
  publisher =     {ACM},
  type =          {publication},
  title =         {Compact Thermal Modeling for Temperature Aware Design},
  year =          {2004},
  doi =           {10.1145/996566.996800},
  url =           {http://www.cs.virginia.edu/~skadron/Papers/HotSpot_DAC04.pdf},
}

@book{HWW02,
  author =        {Jim Hoskins and Bill Wilson and Ray Winkel},
  publisher =     {Maximum Press},
  title =         {Exploring IBM EServer XSeries: The Instant Insider's Guide to IBM's Intel-Based Servers and Workstations},
  year =          {2002},
  isbn =          {1885068832},
}

@article{Hen06,
  author =        {John L. Henning},
  journal =       {SIGARCH Computer Architecture News},
  number =        {4},
  publisher =     {ACM},
  title =         {{SPEC CPU2006} Benchmark Descriptions},
  volume =        {34},
  year =          {2006},
  doi =           {10.1145/1186736.1186737},
}

@inproceedings{IBCB06,
  author =        {Canturk Isci and Alper Buyuktosunoglu and Chen-Yong Cher and Pradip Bose and Margaret Martonosi},
  booktitle =     {Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MIRCO'06)},
  month =         dec,
  publisher =     {IEEE Computer Society},
  title =         {An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget},
  year =          {2006},
  doi =           {10.1109/MICRO.2006.8},
  isbn =          {0-7695-2732-9},
}

@inproceedings{IM03,
  author =        {Canturk Isci and Margaret Martonosi},
  booktitle =     {Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture (MIRCO'03)},
  month =         dec,
  publisher =     {IEEE Computer Society},
  title =         {Runtime Power Monitoring in High-End Processors: Methodology and Empirical Data},
  year =          {2003},
  doi =           {10.1109/MICRO.2003.1253186},
  isbn =          {0-7695-2043-X},
  url =           {http://www.microarch.org/micro36/html/pdf/isci-RunTimePowerMonitoring.pdf},
}

@inproceedings{IM06,
  author =        {Canturk Isci and Margaret Martonosi},
  booktitle =     {Proceedings of the Twelfth International Symposium on High-Performance Computer Architecture (HPCA'06)},
  month =         feb,
  publisher =     {IEEE Computer Society},
  title =         {Phase Characterization for Power: Evaluating Control-Flow-Based and Event-Counter-Based Techniques},
  year =          {2006},
  doi =           {10.1109/HPCA.2006.1598119},
}

@article{IMB05,
  author =        {Canturk Isci and Margaret Martonosi and Alper Buyuktosunoglu},
  journal =       {IEEE Micro},
  month =         sep,
  number =        {5},
  title =         {Long-term Workload Phases: Duration Predictions and Applications to {DVFS}},
  volume =        {25},
  year =          {2005},
  doi =           {10.1109/MM.2005.93},
}

@manual{Int02,
  author =        {{Intel Corporation}},
  month =         nov,
  title =         {{Intel}{\textregistered} {Pentium}{\textregistered} 4 Processor with 512-KB L2 Cache on 0.13 Micron Process Thermal Design Guidelines},
  year =          {2002},
  url =           {http://developer.intel.com/design/pentium4/guides/25216101.pdf},
}

@book{Int06,
  author =        {{Intel Corporation}},
  title =         {IA-32 Intel Architecture Software Developer's Manual Volume 3A: System Programming Guide, Part 1},
  year =          {2006},
}

@inproceedings{JSCT08,
  author =        {Jiang,, Yunlian and Shen,, Xipeng and Chen,, Jie and Tripathi,, Rahul},
  booktitle =     {PACT '08: Proceedings of the 17th international conference on Parallel architectures and compilation techniques},
  month =         oct,
  publisher =     {ACM},
  title =         {Analysis and approximation of optimal co-scheduling on chip multiprocessors},
  year =          {2008},
  doi =           {10.1145/1454115.1454146},
  isbn =          {978-1-60558-282-5},
}

@inproceedings{JWPM05,
  author =        {Philo Juang and Qiang Wu and Li-Shiuan Peh and Margaret Martonosi and Douglas W. Clark},
  booktitle =     {Proceedings of the 2005 International Symposium on Low-Power Electronics and Design (ISLPED'05)},
  month =         aug,
  publisher =     {ACM},
  title =         {Coordinated, distributed, formal energy management of chip multiprocessors},
  year =          {2005},
  doi =           {10.1145/1077603.1077637},
  isbn =          {1-59593-137-6},
}

@article{Jed08,
  author =        {{JEDEC Solid State Technology Association}},
  journal =       {{JEDEC} Publication},
  month =         oct,
  title =         {Failure Mechanisms and Models for Semiconductor Devices},
  volume =        {JEP122D},
  year =          {2008},
}

@article{Jon06,
  author =        {M. Tim Jones},
  journal =       {IBM Developer Works},
  publisher =     {IBM},
  title =         {Inside the {Linux} Scheduler},
  year =          {2006},
}

@article{KBT04,
  author =        {Kalla, Ron and Balaram Sinharoy and Tendler, Joel M.},
  journal =       {IEEE Micro},
  month =         mar,
  number =        {2},
  title =         {{IBM} {Power5} chip: a dual-core multithreaded processor},
  volume =        {24},
  year =          {2004},
  doi =           {10.1109/MM.2004.1289290},
}

@inproceedings{KCBP06,
  author =        {Eren Kursun and Chen-Yong Cher and Alper Buyuktosunoglu and Pradip Bose},
  booktitle =     {Proceedings of the Third Workshop on Temperature-Aware Computer Systems (TACS'06)},
  month =         jun,
  title =         {Investigating the Effects of Task Scheduling on Thermal Behavior},
  year =          {2006},
  url =           {http://www.eecs.harvard.edu/~dbrooks/tacs06/tacs_kursun.pdf},
}

@inproceedings{KCCC08,
  author =        {Joonho Kong and Jinhang Choi and Lynn Choi and Sung Woo Chung},
  booktitle =     {International Conference on Convergence Information Technology (ICCIT'08)},
  month =         nov,
  publisher =     {IEEE Computer Society},
  title =         {Low-Cost Application-Aware {DVFS} for Multi-core Architecture},
  year =          {2008},
  doi =           {10.1109/ICCIT.2008.124},
  isbn =          {},
}

@inproceedings{KDGK05,
  author =        {Ramakrishna Kotla and Anirudh Devgan and Soraya Ghiasi and Tom Keller and Freeman Rawson},
  booktitle =     {Proceedings of the Seventh IEEE International Workshop on Workload Characterization (WWC-7)},
  month =         oct,
  title =         {Characterizing the impact of different memory-intensity levels},
  year =          {2004},
  doi =           {10.1109/WWC.2004.1437388},
  isbn =          {0-7803-8828-3},
  url =           {http://www.cs.utexas.edu/users/kotla/pub/CoolCompanions-WWC-7-final.pdf},
}

@inproceedings{KGKR05,
  author =        {Ramakrishna Kotla and Soraya Ghiasi and Tom Keller and Freeman Rawson},
  booktitle =     {IPDPS '05: Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop~11},
  month =         apr,
  publisher =     {IEEE Computer Society},
  title =         {Scheduling Processor Voltage and Frequency in Server and Cluster Systems},
  year =          {2005},
  doi =           {10.1109/IPDPS.2005.392},
  isbn =          {0-7695-2312-9},
}

@inproceedings{KGWX08,
  author =        {Wonyoung Kim and Meeta S. Gupta and Gu-Yeon Wei and David Brooks},
  booktitle =     {Proceedings of the 14th IEEE International Symposium on High-Performance Computer Architecture (HPCA'08)},
  month =         feb,
  title =         {System Level Analysis of Fast, Per-Core {DVFS} using On-Chip Switching Regulators},
  year =          {2008},
  doi =           {10.1109/HPCA.2008.4658633},
  url =           {http://www.eecs.harvard.edu/~dbrooks/kim2008_hpca.pdf},
}

@inproceedings{KK06,
  author =        {Evangelos Koukis and Nectarios Koziris},
  booktitle =     {ICPADS '06: Proceedings of the 12th International Conference on Parallel and Distributed Systems},
  publisher =     {IEEE Computer Society},
  title =         {Memory and Network Bandwidth Aware Scheduling of Multiprogrammed Workloads on Clusters of {SMPs}},
  year =          {2006},
  doi =           {10.1109/ICPADS.2006.59},
  isbn =          {0-7695-2612-8},
}

@inproceedings{KSLJ06,
  author =        {Amit Kumar and Li Shang and Li-Shiuan Peh and Niraj K. Jha},
  booktitle =     {Proceedings of the 43{rd} Design Automation Conference (DAC'06)},
  month =         jul,
  publisher =     {ACM},
  title =         {{HybDTM}: a coordinated hardware-software approach for dynamic thermal management},
  year =          {2006},
  doi =           {10.1109/DAC.2006.229219},
  issn =          {0738-100X},
}

@article{KSN07,
  address =       {New York, NY, USA},
  author =        {Masaaki Kondo and Hiroshi Sasaki and Hiroshi Nakamura},
  journal =       {SIGARCH Computer Architecture News},
  number =        {1},
  publisher =     {ACM},
  title =         {Improving fairness, throughput and energy-efficiency on a chip multiprocessor through {DVFS}},
  volume =        {35},
  year =          {2007},
  doi =           {10.1145/1241601.1241609},
  issn =          {0163-5964},
}

@article{KZT05,
  author =        {Rakesh Kumar and Victor Zyuban and Dean M. Tullsen},
  journal =       {SIGARCH Computer Architecture News},
  number =        {2},
  publisher =     {ACM},
  title =         {Interconnections in Multi-Core Architectures: Understanding Mechanisms, Overheads and Scaling},
  volume =        {33},
  year =          {2005},
  doi =           {10.1145/1080695.1070004},
  issn =          {0163-5964},
}

@inproceedings{LCCF08,
  author =        {Wen-Yew Liang and Shih-Chang Chen and Yang-Lang Chang and Jyh-Perng Fang},
  booktitle =     {Proceedings of the Fourteenth IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA'08)},
  month =         aug,
  title =         {Memory-Aware Dynamic Voltage and Frequency Prediction for Portable Devices},
  year =          {2008},
  doi =           {10.1109/RTCSA.2008.19},
  issn =          {1533-2306},
}

@inproceedings{LFZE00,
  author =        {Alvin Lebeck and Xiaobo Fan and Heng Zeng and Carla Ellis},
  booktitle =     {Proceedings of the Ninth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'00)},
  month =         nov,
  publisher =     {ACM},
  title =         {Power Aware Page Allocation},
  year =          {2000},
  doi =           {10.1145/384264.379007},
  url =           {http://www.cs.duke.edu/~alvy/papers/CS-2000-08.pdf},
}

@inproceedings{LM06,
  author =        {Li, Jian and Martinez, Jose F.},
  booktitle =     {Proceedings of the Twelfth International Symposium on High-Performance Computer Architecture (HPCA'06)},
  month =         feb,
  publisher =     {IEEE Computer Society},
  title =         {Dynamic power-performance adaptation of parallel computation on chip multiprocessors},
  year =          {2006},
  doi =           {10.1109/HPCA.2006.1598114},
  issn =          {1530-0897},
  url =           {http://m3.csl.cornell.edu/papers/hpca06.pdf},
}

@inproceedings{LS05,
  author =        {Kyeong-Jae Lee and Kevin Skadron},
  booktitle =     {Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop~11},
  month =         apr,
  title =         {Using Performance Counters for Runtime Temperature Sensing in High-Performance Processors},
  year =          {2005},
  doi =           {10.1109/IPDPS.2005.448},
  url =           {http://www.cs.virginia.edu/papers/01420152.pdf},
}

@inproceedings{LSK04,
  author =        {Liu,, Chun and Sivasubramaniam,, Anand and Kandemir,, Mahmut},
  booktitle =     {HPCA '04: Proceedings of the 10th International Symposium on High Performance Computer Architecture},
  month =         feb,
  publisher =     {IEEE Computer Society},
  title =         {Organizing the Last Line of Defense before Hitting the Memory Wall for {CMPs}},
  year =          {2004},
  doi =           {10.1109/HPCA.2004.10017},
  isbn =          {0-7695-2053-7},
}

@inproceedings{LVE00,
  author =        {Jochen Liedtke and Marcus Voelp and Kevin Elphinstone},
  booktitle =     {EW 9: Proceedings of the 9th ACM SIGOPS European workshop},
  month =         sep,
  publisher =     {ACM},
  title =         {Preliminary thoughts on memory-bus scheduling},
  year =          {2000},
  doi =           {10.1145/566726.566768},
  isbn =          {1-23456-789-0},
}

@techreport{Lee06,
  author =        {Benjamin C. Lee},
  institution =   {Harvard University},
  month =         jan,
  number =        {TR-02-06},
  title =         {An Architectural Assessment of {SPEC} {CPU} Benchmark Relevance},
  year =          {2006},
}

@inproceedings{MAN05,
  author =        {Robert L. McGregor and Christos D. Antonopoulos and Dimitrios S. Nikolopoulos},
  booktitle =     {IPDPS '05: Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Papers},
  month =         apr,
  publisher =     {IEEE Computer Society},
  title =         {Scheduling Algorithms for Effective Thread Pairing on Hybrid Multiprocessors},
  year =          {2005},
  doi =           {10.1109/IPDPS.2005.390},
  isbn =          {0-7695-2312-9},
}

@inproceedings{MB06,
  author =        {Andreas Merkel and Frank Bellosa},
  booktitle =     {Proceedings of the First ACM SIGOPS EuroSys Conference},
  month =         apr,
  publisher =     {ACM},
  title =         {Balancing Power Consumption in Multiprocessor Systems},
  year =          {2006},
  doi =           {10.1145/1217935.1217974OQ},
  url =           {http://i30www.ira.uka.de/research/publications/pm/},
}

@inproceedings{MB08a,
  author =        {Andreas Merkel and Frank Bellosa},
  booktitle =     {Proceedings of the Third ACM SIGOPS EuroSys Conference},
  month =         mar,
  publisher =     {ACM},
  title =         {Task Activity Vectors: A New Metric for Temperature-Aware Scheduling},
  year =          {2008},
  doi =           {10.1145/1352592.1352594},
}

@inproceedings{MB08b,
  author =        {Andreas Merkel and Frank Bellosa},
  booktitle =     {Proceedings of the Workshop on Power Aware Computing and Systems (HotPower'08)},
  month =         dec,
  title =         {Memory-aware Scheduling for Energy Efficiency on Multicore Processors},
  year =          {2008},
}

@article{MBHH02,
  author =        {Deborah T. Marr and Frank Binns and David L. Hill and Glenn Hinton and David A. Kofaty and J. Alan Miller and Michael Upton},
  journal =       {Intel Technology Journal},
  note =          {Q1 issue},
  title =         {{Hyper-Threading} Technology Architecture and Microarchitecture},
  year =          {2002},
}

@inproceedings{MDHS09,
  author =        {Mytkowicz, Todd and Diwan, Amer and Hauswirth, Matthias and Sweeney, Peter F.},
  booktitle =     {ASPLOS '09: Proceeding of the 14th international conference on Architectural support for programming languages and operating systems},
  month =         mar,
  publisher =     {ACM},
  title =         {Producing wrong data without doing anything obviously wrong!},
  year =          {2009},
  doi =           {10.1145/1508244.1508275},
  isbn =          {978-1-60558-406-5},
}

@inproceedings{MM07,
  author =        {Thomas Moscibroda and Onur Mutlu},
  booktitle =     {SS'07: Proceedings of 16th USENIX Security Symposium},
  month =         aug,
  publisher =     {USENIX Association},
  title =         {Memory performance attacks: denial of memory service in multi-core systems},
  year =          {2007},
  isbn =          {111-333-5555-77-9},
}

@techreport{MS06,
  author =        {Pierre Michaud and Yiannakis Sazeides},
  institution =   {Institut de Recherche en Informatique et Systemes Aleatoires},
  month =         oct,
  title =         {Scheduling issues on thermally-constrained processors},
  year =          {2006},
  url =           {ftp://ftp.irisa.fr/techreports/2006/PI-1822.pdf},
}

@misc{Mer05,
  author =        {Andreas Merkel},
  howpublished =  {Diploma Thesis, Universit{\"a}t Karlsruhe (TH), System Architecture Group},
  month =         sep,
  title =         {Balancing Power Consumption in Multiprocessor Systems},
  year =          {2005},
  url =           {http://i30www.ira.uka.de/teaching/thesisdocuments/pmk/2005/merkel_diploma_balancing-power-consumption.pdf},
}

@article{Mud01,
  author =        {Trevor Mudge},
  journal =       {IEEE Computer},
  month =         apr,
  number =        {4},
  title =         {Power: A First-Class Architectural Design Constraint},
  volume =        {34},
  year =          {2001},
  doi =           {10.1109/2.917539},
}

@inproceedings{NP02,
  author =        {Jun Nakajima and Venkatesh Pallipadi},
  booktitle =     {WIESS'02: Proceedings of the 2nd Workshop on Industrial Experiences with Systems Software},
  month =         dec,
  publisher =     {USENIX Association},
  title =         {Enhancements for {Hyper-Threading} technology in the operating system: seeking the optimal scheduling},
  year =          {2002},
}

@article{NRMG06,
  author =        {Alon Naveh and Efraim Rotem and Avi Mendelson and Simcha Gochman and Rajshree Chabukswar and Karthik Krishnan and Arun Kumar},
  journal =       {Intel Technology Journal},
  number =        {2},
  title =         {Power and Thermal Management in the {Intel} {Core Duo} Processor},
  volume =        {10},
  year =          {2006},
  doi =           {10.1535/itj.1002.03},
  issn =          {1535-864X},
  url =           {http://download.intel.com/technology/itj/2006/volume10issue02/vol10_art03.pdf},
}

@article{ONHW96,
  author =        {Olukotun, Kunle and Nayfeh, Basem A. and Hammond, Lance and Wilson, Ken and Chang, Kunyung},
  journal =       {SIGPLAN Notices},
  number =        {9},
  publisher =     {ACM},
  title =         {The case for a single-chip multiprocessor},
  volume =        {31},
  year =          {1996},
  doi =           {10.1145/248209.237140},
  issn =          {0362-1340},
}

@inproceedings{Ous82,
  author =        {John K. Ousterhout},
  booktitle =     {Proceedings of the 3rd International Conference on Distributed Computing Systems},
  month =         oct,
  publisher =     {IEEE Computer Society},
  title =         {Scheduling Techniques for Concurrent Systems},
  year =          {1982},
}

@techreport{PELL00,
  author =        {Sujay Parekh and Susan Eggers and Henry Levy and Jack Lo},
  institution =   {University of Washington},
  month =         may,
  title =         {Thread-sensitive scheduling for {SMT} processors},
  year =          {2000},
}

@inproceedings{RHAH06,
  author =        {Efraim Rothem and Jim Hermerding and Cohen Aviad and Cain Harel},
  booktitle =     {Proceedings of the Twelfth International Workshop on Thermal Investigations of ICs (THERMINIC'06)},
  month =         aug,
  title =         {Temperature Measurement in the {Intel Core Duo} Processor},
  year =          {2006},
  url =           {http://tima.imag.fr/spip/spip/IMG/pdf/TMI23.pdf},
}

@inproceedings{RLA07,
  author =        {Rajagopalan, Mohan and Lewis, Brian T. and Anderson, Todd A.},
  booktitle =     {HOTOS'07: Proceedings of the 11th USENIX workshop on Hot topics in operating systems},
  month =         may,
  publisher =     {USENIX Association},
  title =         {Thread scheduling for multi-core platforms},
  year =          {2007},
}

@inproceedings{RLT06,
  author =        {Nauman Rafique and Won-Taek Lim and Mithuna Thottethodi},
  booktitle =     {PACT '06: Proceedings of the 15th international conference on Parallel architectures and compilation techniques},
  month =         sep,
  publisher =     {ACM},
  title =         {Architectural support for operating system-driven {CMP} cache management},
  year =          {2006},
  doi =           {10.1145/1152154.1152160},
  isbn =          {1-59593-264-X},
}

@inproceedings{RWB09,
  author =        {Rangan, Krishna K. and Wei, Gu-Yeon and Brooks, David},
  booktitle =     {Proceedings of the 36th International Symposium on Computer Architecture (ISCA'09)},
  month =         jun,
  publisher =     {ACM},
  title =         {Thread motion: fine-grained power management for multi-core systems},
  year =          {2009},
  doi =           {10.1145/1555754.1555793},
  isbn =          {978-1-60558-526-0},
}

@article{SABR04,
  address =       {New York, NY, USA},
  author =        {Jayanth Srinivasan and Sarita V. Adve and Pradip Bose and Jude A. Rivers},
  journal =       {SIGARCH Computer Architecture News},
  number =        {2},
  publisher =     {ACM},
  title =         {The Case for Lifetime Reliability-Aware Microprocessors},
  volume =        {32},
  year =          {2004},
  doi =           {10.1145/1028176.1006725},
  issn =          {0163-5964},
}

@inproceedings{SDR02,
  author =        {G. Edward Suh and Srinivas Devadas and Larry Rudolph},
  booktitle =     {HPCA '02: Proceedings of the 8th International Symposium on High-Performance Computer Architecture},
  month =         feb,
  publisher =     {IEEE Computer Society},
  title =         {A New Memory Monitoring Scheme for Memory-Aware Scheduling and Partitioning},
  year =          {2002},
}

@article{SF91,
  address =       {New York, NY, USA},
  author =        {Sohi, Gurindar S. and Franklin, Manoj},
  journal =       {SIGPLAN Notices},
  number =        {4},
  publisher =     {ACM},
  title =         {High-bandwidth data memory systems for superscalar processors},
  volume =        {26},
  year =          {1991},
  doi =           {10.1145/106973.106980},
  issn =          {0362-1340},
}

@article{SL93,
  author =        {Mark Steven Squillante and Edward D. Lazowska},
  journal =       {IEEE Transactions on Parallel and Distributed Systems},
  number =        {2},
  publisher =     {IEEE Computer Society},
  title =         {Using Processor-Cache Affinity Information in Shared-Memory Multiprocessor Scheduling},
  volume =        {4},
  year =          {1993},
  doi =           {10.1109/71.207589},
  issn =          {1045-9219},
}

@inproceedings{SLMH07,
  author =        {David C. Snowdon and Godfrey van der Linden and Stefan M. Petters and Gernot Heiser},
  booktitle =     {3rd Workshop on Operating System Platforms for Embedded Real-Time Applications},
  month =         jul,
  title =         {Accurate run-time prediction of performance degradation under frequency scaling},
  year =          {2007},
  url =           {http://ertos.org/publications/papers/Snowdon_VPH_07.pdf},
}

@inproceedings{SPH07,
  author =        {Snowdon, David C. and Petters, Stefan M. and Heiser, Gernot},
  booktitle =     {Proceedings of the Seventh ACM International Conference on Embedded Software (EMSOFT'07)},
  month =         oct,
  publisher =     {ACM},
  title =         {Accurate on-line prediction of processor and memory energy usage under voltage scaling},
  year =          {2007},
  doi =           {10.1145/1289927.1289945},
  isbn =          {978-1-59593-825-1},
}

@article{SPM07,
  author =        {Suresh Siddha and Venkatesh Pallipadi and Asit Mallick},
  journal =       {Intel Technology Journal},
  number =        {4},
  publisher =     {Intel Corporation},
  title =         {Process Scheduling Challenges in the Era of Multi-core Processors},
  volume =        {11},
  year =          {2007},
  issn =          {1535-864X},
}

@inproceedings{SSHV03,
  author =        {Kevin Skadron and Mircea R. Stan and Wei Huang and Sivakumar Velusamy and Karthik Sankaranarayanan and David Tarjan},
  booktitle =     {Proceedings of the 30th International Symposium on Computer Architecture (ISCA'03)},
  month =         jun,
  publisher =     {ACM},
  title =         {Temperature-Aware Microarchitecture},
  year =          {2003},
  doi =           {10.1145/859618.859620},
}

@inproceedings{SSPH09,
  author =        {Snowdon, David C. and Le Sueur, Etienne and Petters, Stefan M. and Heiser, Gernot},
  booktitle =     {EuroSys~'09: Proceedings of the 4th ACM European Conference on Computer Systems},
  month =         mar,
  publisher =     {ACM},
  title =         {Koala: a platform for {OS}-level power management},
  year =          {2009},
  doi =           {10.1145/1519065.1519097},
  isbn =          {978-1-60558-482-9},
}

@article{ST07,
  author =        {Stavrou, Kyriakos and Trancoso, Pedro},
  journal =       {EURASIP Journal on Embedded Systems},
  number =        {1},
  publisher =     {Hindawi Publishing Corp.},
  title =         {Thermal-aware scheduling for future chip multiprocessors},
  volume =        {2007},
  year =          {2007},
  doi =           {10.1155/2007/48926},
  issn =          {1687-3955},
}

@inproceedings{STD00,
  author =        {Snavely,, Allan and Tullsen,, Dean M.},
  booktitle =     {ASPLOS-IX: Proceedings of the 9th international conference on Architectural support for programming languages and operating systems},
  month =         nov,
  publisher =     {ACM},
  title =         {Symbiotic jobscheduling for a simultaneous multithreaded processor},
  year =          {2000},
  doi =           {10.1145/378993.379244},
  isbn =          {1-58113-317-0},
}

@inproceedings{SW95,
  author =        {Patrick Sobalvarro and William E. Weihl},
  booktitle =     {IPPS '95: Proceedings of the Workshop on Job Scheduling Strategies for Parallel Processing},
  month =         apr,
  publisher =     {Springer-Verlag},
  title =         {Demand-Based Coscheduling of Parallel Jobs on Multiprogrammed Multiprocessors},
  year =          {1995},
  isbn =          {3-540-60153-8},
}

@inproceedings{TAS07,
  author =        {David Tam and Reza Azimi and Michael Stumm},
  booktitle =     {EuroSys '07: Proceedings of the 2nd ACM SIGOPS/EuroSys European Conference on Computer Systems 2007},
  month =         mar,
  publisher =     {ACM},
  title =         {Thread clustering: sharing-aware scheduling on {SMP-CMP-SMT} multiprocessors},
  year =          {2007},
  doi =           {10.1145/1272996.1273004},
  isbn =          {978-1-59593-636-3},
}

@inproceedings{TEL95,
  author =        {Dean M. Tullsen and Susan J. Eggers and Henry M. Levy},
  booktitle =     {ISCA '95: Proceedings of the 22nd Annual International Symposium on Computer Architecture},
  month =         jun,
  publisher =     {IEEE Computer Society},
  title =         {Simultaneous multithreading: Maximizing on-chip parallelism},
  year =          {1995},
  issn =          {1063-6897},
}

@article{WA08,
  address =       {New York, NY, USA},
  author =        {Jonathan A. Winter and David H. Albonesi},
  journal =       {ACM Transactions on Architecture and Code Optimimizations},
  number =        {1},
  publisher =     {ACM},
  title =         {Addressing thermal nonuniformity in {SMT} workloads},
  volume =        {5},
  year =          {2008},
  doi =           {10.1145/1369396.1369400},
  issn =          {1544-3566},
}

@inproceedings{WB02,
  author =        {Andreas Weissel and Frank Bellosa},
  booktitle =     {Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES'02)},
  month =         oct,
  publisher =     {ACM},
  title =         {Process Cruise Control: Event-driven Clock Scaling for Dynamic Power Management},
  year =          {2002},
  doi =           {10.1145/581630.581668},
}

@inproceedings{WM08,
  author =        {Vincent M. Weaver and Sally A. McKee},
  booktitle =     {Proceedings of the IEEE International Symposium on Workload Characterization (IISWC'08)},
  month =         sep,
  publisher =     {IEEE Computer Society},
  title =         {Can Hardware Performance Counters be Trusted?},
  year =          {2008},
  doi =           {10.1109/IISWC.2008.4636099},
}

@article{WWW00,
  author =        {Ram Viswanath and Vijay Wakharkar and Abhay Watwe and Vassou Lebonheur},
  journal =       {Intel Technology Journal},
  note =          {Q3 issue},
  title =         {Thermal Performance Challenges from Silicon to Systems},
  year =          {2000},
  url =           {http://www.intel.com/technology/itj/q32000/articles/art_4.htm},
}

@book{Wec06,
  author =        {Ofri Wechsler},
  publisher =     {Intel Corporation},
  title =         {Inside Intel Core Microarchitecture},
  year =          {2006},
}

@book{YC01,
  author =        {Lian-Tuu Yeh and Richard C. Chu},
  publisher =     {American Society of Mechanical Engineers},
  title =         {Thermal Management of Microelectronic Equipment},
  year =          {2001},
  isbn =          {0791801683},
}

@inproceedings{YSBZ05,
  author =        {Li Yingmin and Kevin Skadron and David Brooks and Hu Zhigang},
  booktitle =     {Proceedings of the Eleventh International Symposium on High-Performance Computer Architecture (HPCA'05)},
  month =         feb,
  publisher =     {IEEE Computer Society},
  title =         {Performance, Energy, and Thermal Considerations for {SMT} and {CMP} Architectures},
  year =          {2005},
  doi =           {10.1109/HPCA.2005.25},
  isbn =          {0-7695-2275-0},
  issn =          {1530-0897},
}

@inproceedings{ZDFS07,
  author =        {Xiao Zhang and Sandhya Dwarkadas and Girts Folkmanis and Kai Shen},
  booktitle =     {HOTOS'07: Proceedings of the 11th USENIX workshop on hot topics in operating systems},
  month =         may,
  publisher =     {USENIX Association},
  title =         {Processor hardware counter statistics as a first-class system resource},
  year =          {2007},
}

@inproceedings{ZIIM07,
  author =        {Zhao, Li and Iyer, Ravi and Illikkal, Ramesh and Moses, Jaideep and Makineni, Srihari and Newell, Don},
  booktitle =     {PACT'07: Proceedings of the 16th International Conference on Parallel Architecture and Compilation Techniques},
  month =         sep,
  publisher =     {IEEE Computer Society},
  title =         {{CacheScouts}: Fine-Grain Monitoring of Shared Caches in {CMP} Platforms},
  year =          {2007},
  doi =           {10.1109/PACT.2007.19},
  isbn =          {0-7695-2944-5},
}