ITEC - Lehrstuhl Betriebssysteme

Faires Scheduling unter Beachtung von AVX-512-Frequenzeffekten

  • Typ:Bachelorarbeit
  • Datum:10.09.2020
  • Betreuer:

    Prof. Dr. Frank Bellosa

    Mathias Gottschlag

  • Bearbeiter:Philipp Machauer
  • Links:PDF
  • Abstract
    Intel introduced the Advanced Vector Extensions (AVX) to their processors for making complex calculations faster. Those instructions lead to a higher power usage, thus producing more heat on the processor. In order to prevent the power supply from being overloaded and the processor from overheating, the core frequency gets reduced. After some time past the last AVX instructions where executed the processor resets its frequency to the regular level.
    When the processor detects the execution of an AVX instruction it starts a timer. Everytime another AVX instruction gets executed this timer is being reset and restarted. Once the timer expires there was no AVX code recently and therefore the frequency is set back to its normal level. Using this procedure one can be relatively sure that no AVX instructions will be executed in the near future and that a resetting of the frequency is reasonable. The disadvantage of this technique is the reduction of the CPU frequency for processes executed directly after an process which used AVX instructions. The normally used Completely Fair Scheduler (CFS) in a Linux environment calculates the fairness only considering the execution time of a process. Therefore processes which got executed while the frequency was reduced are treated exactly like a process which ran under full speed. This leads to a disadvantage for those processes.
    In order to face this problem this thesis detects those discriminated processes. According to the duration of execution under reduced frequency the measured execution time of this process gets reduced afterwards. This leads to a preferred schedule of these processes and therefore to a fairer share of execution time on the processor.
    Implementing this solution was done by modifying the Linux kernel in a way that it can detect those processes. This was done by measuring the reduced runtime of the previous process on every call of the scheduler.
    This solution showed a fairer distribution of execution time to processes that ran under reduced frequency. That resulted in a speedup of those processes upto 11 %. Under completely optimal and fair conditions a speedup of upto 25%would be possible. This leads to the conclusion that this thesis provides a working proof of concept but further development has to be done in order to optimize the code and eliminate other occuring sideeffects.
    BibTex:
    @bachelorthesis{machauer2020avx512frequenzeffekte,
    author = {Philipp Machauer},
    title = {Faires Scheduling unter Beachtung von AVX-512-Frequenzeffekten},
    type = {Bachelor Thesis},
    year = 2020,
    month = sep # "10",
    school = {Operating Systems Group, Karlsruhe Institute of Technology (KIT), Germany}
    }